반응형

전자 4

Pull up 저항과 Pull down 저항.

회로를 보면 Pull up(풀업)저항과 Pull down(풀다운) 저항을 자주 볼 수 있고, 자주 접한다. 1. Pull down (풀다운) 위 회로는 풀다운 회로이다. SW가 평상시에는 눌린상태가 아니기에 입력상태인 PORT는 저항 R1을 거쳐 GND가 연결된 상태이므로 디지털적으로 0(low)가 된다. 위 상태에서 SW1을 push했다고 해보자. ATmega128을 예로들면 PORT에는 입력으로 레지스터를 설정했을시에 높은 저항을 가진 내부 입력저항이 존재한다. 그리고 push 스위치를 on시켰을때 전류는 위 그림에서 빨간색 화살표와 같이 GND를 향하여 흐른다. 그러므로 저항 R1에는 5V의 전압이 양단에 걸리며, PORT 역시 5V가 걸린다.(병렬회로에서 전압은 같게 분배된다.) 위 설명이 이해가..

간단한 LED 회로 설계 연습 및 실습.

사용한 부품 LED : BL-B2134(333GD) Registor : 180, 330, 680 1. 사용된 LED의 Datasheet를 먼저 보기. LED를 구입한 사이트에서 LED의 Datasheet를 다운로드 받아 open 한다. Datasheet를 보면 이 LED에 대한 정보를 얻을 수 있다. 아래 Maximun Ratings를 보면 Forward Current(순방향 전류)가 30mA라고 되어있는것을 볼 수 있다. Maximun Current이므로 흐르는 전류는 30mA 이상을 넘지 않아야하며 가능하면 30mA 부근까지 가도록 하는 설계는 지양하는것이 좋다. 스크롤을 내려 Electrical and optical characteristics 를 본다. 20mA가 흐르는 조건에서 Forward V..

Verilog HDL 문법.

//모듈명 및 외부 신호 이름 선언. module COUNT_8BIT( RESETN, CLK, COUNT_OUT) //모듈 외부 신호의 in,out을 설정. input RESETN; input CLK;output[7:0] COUNT_OUT; // [7:0]은 8bit를 뜻함. //reg및 wire 설정. COUNT_OUT을 reg로 선언함으로써 데이터를 저장하도록 하였음. reg[7:0] COUNT_OUT; //수행. always@(posedge CLK) //항상, 클락의 rising edge(0->1) 에서 동작.begin if(~RESETN) //RESETN은 RESET의 NOT을 뜻하는 이름. 즉, 0이들어오면 1이 되어 리셋 수행. COUNT_OUT

전자/FPGA 2015.06.16

Quartus II USB-Blaster 드라이버 설치방법

사용자 환경 : Windows 7 64bit USB-Blaster 드라이버 설치방법 1) 장치를 연결합니다. 설치창이 뜨면서 검색을 합니다. 2) 설치를 한번도 하지 않았던 컴퓨터라면 십중팔구는 아래 화면이 뜰것입니다. 3) 위와같은 화면이 뜨셨다면 당황하지 않고~ 장치관리자를 열어줍니다. 장치관리자는 [시작] - [컴퓨터]를 우클릭 - [속성] - 창이뜨면 왼쪽의 장치관리자를 클릭 하시면 됩니다. 4) 위와 같이 USB-Blaster가 느낌표로 되어있는것이 보이실것입니다. 제대로 설치가 되어있지 않은 상태라는것이죠. 해당 장치를 [마우스 우클릭]하여 [드라이버 소프트웨어 업데이트]를 클릭합니다. 5) 그러면 아래와 같은 화면이 뜨게됩니다. 위 화면에서 [컴퓨터에서 드라이버 소프트웨어 찾아보기]를 클릭합..

전자/FPGA 2015.06.02
반응형